Filter
Conference contribution

Search results

  • 2020

    Vertext: An end-to-end ai powered conversation management system for multi-party chat platforms

    Anjum, O., Chan, C. H., Lawphongpanich, T., Liang, Y., Tang, T., Zhang, S., Hwu, W. M., Xiong, J. & Patel, S., Oct 17 2020, CSCW 2020 Companion - Conference Companion Publication of the 2020 Computer Supported Cooperative Work and Social Computing. Association for Computing Machinery, p. 1-6 6 p. (Proceedings of the ACM Conference on Computer Supported Cooperative Work, CSCW).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2013

    Hybrid latency tolerance for robust energy-efficiency on 1000-core data parallel processors

    Crago, N. C., Azizi, O., Lumetta, S. S. & Patel, S. J., 2013, 19th IEEE International Symposium on High Performance Computer Architecture, HPCA 2013. p. 294-305 12 p. 6522327. (Proceedings - International Symposium on High-Performance Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2012

    Implementing a GPU programming model on a non-GPU accelerator architecture

    Kofsky, S. M., Johnson, D. R., Stratton, J. A., Hwu, W. M. W., Patel, S. J. & Lumetta, S. S., 2012, Computer Architecture - ISCA 2010 International Workshops, A4MMC, AMAS-BT, EAMA, WEED, WIOSCA, Revised Selected Papers. p. 40-51 12 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 6161 LNCS).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • 2011

    Accelerating aerial image simulation with GPU

    Zhang, H., Yan, T., Wong, M. D. F. & Patel, S. J., 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011. p. 178-184 7 p. 6105323. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Decoupled architectures as a low-complexity alternative to out-of-order execution

    Crago, N. C. & Patel, S. J., 2011, Proceedings - 2011 International Conference on Parallel Architectures and Compilation Techniques, PACT 2011. p. 179-180 2 p. 6113804. (Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • OUTRIDER: Efficient memory latency tolerance with decoupled strands

    Crago, N. C. & Patel, S. J., 2011, Proceeding of the 38th Annual International Symposium on Computer Architecture, ISCA'11. p. 117-128 12 p. (Proceedings - International Symposium on Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2010

    An adaptive performance modeling tool for GPU architectures

    Baghsorkhi, S. S., Delahaye, M., Patel, S. J., Gropp, W. D. & Hwu, W. M. W., 2010, PPoPP'10 - Proceedings of the 2010 ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming. p. 105-114 10 p. (Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • An asymmetric distributed shared memory model for heterogeneous parallel systems

    Gelado, I., Cabezas, J., Navarro, N., Stone, J. E., Patel, S. & Hwu, W. M. W., 2010, ASPLOS XV - 15th International Conference on Architectural Support for Programming Languages and Operating Systems. p. 347-358 12 p. (International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • An integrated framework for joint design space exploration of microarchitecture and circuits

    Azizi, O., Mahesri, A., Stevenson, J. P., Patel, S. J. & Horowitz, M., 2010, DATE 10 - Design, Automation and Test in Europe. Institute of Electrical and Electronics Engineers Inc., p. 250-255 6 p. 5457204. (Proceedings -Design, Automation and Test in Europe, DATE).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Cohesion: A hybrid memory model for accelerators

    Kelm, J. H., Johnson, D. R., Tuohy, W., Lumetta, S. S. & Patel, S. J., 2010, ISCA 2010 - The 37th Annual International Symposium on Computer Architecture, Conference Proceedings. p. 429-440 12 p. (Proceedings - International Symposium on Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Energy-performance tradeoffs in processor architecture and circuit design: A marginal cost analysis

    Azizi, O., Mahesri, A., Lee, B. C., Patel, S. J. & Horowitz, M., 2010, ISCA 2010 - The 37th Annual International Symposium on Computer Architecture, Conference Proceedings. p. 26-36 11 p. (Proceedings - International Symposium on Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • GoldMine: Automatic assertion generation using data mining and static analysis

    Vasudevan, S., Sheridan, D., Patel, S., Tcheng, D., Tuohy, B. & Johnson, D., 2010, DATE 10 - Design, Automation and Test in Europe. Institute of Electrical and Electronics Engineers Inc., p. 626-629 4 p. 5457129. (Proceedings -Design, Automation and Test in Europe, DATE).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • WAYPOINT: Scaling coherence to thousand-core architectures

    Kelm, J. H., Johnson, M. R., Lumettta, S. S. & Patel, S. J., 2010, PACT'10 - Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques. Institute of Electrical and Electronics Engineers Inc., p. 99-109 11 p. (Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2009

    A task-centric memory model for scalable accelerator architectures

    Kelm, J. H., Johnson, D. R., Lumetta, S. S., Frank, M. I. & Patel, S. J., 2009, Proceedings - 2009 18th International Conference on Parallel Architectures and Compilation Techniques, PACT 2009. p. 77-87 11 p. 5260552. (Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Depth image-based rendering from multiple cameras with 3D propagation algorithm

    Nguyen, Q. H., Do, M. N. & Patel, S. J., 2009, IMMERSCOM 2009 - Proceedings of the 2nd International Conference on Immersive Telecommunications. Association for Computing Machinery, 6. (IMMERSCOM 2009 - Proceedings of the 2nd International Conference on Immersive Telecommunications).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • Depth image-based rendering with low resolution depth

    Nguyen, Q. H., Do, M. N. & Patel, S. J., 2009, 2009 IEEE International Conference on Image Processing, ICIP 2009 - Proceedings. IEEE Computer Society, p. 553-556 4 p. 5413896. (Proceedings - International Conference on Image Processing, ICIP).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Optimization of tele-immersion codes

    Sidelnik, A., Sung, I. J., Wu, W., Garzarán, M. J., Hwu, W. M., Nahrstedt, K., Padua, D. & Patel, S. J., 2009, Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units, GPGPU-2. p. 85 1 p. (Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units, GPGPU-2).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Rigel: An architecture and scalable programming interface for a 1000-core accelerator

    Kelm, J. H., Johnson, D. R., Johnson, M. R., Crago, N. C., Tuohy, W., Mahesri, A., Lumetta, S. S., Frank, M. I. & Patel, S. J., 2009, ISCA 2009 - 36th Annual International Symposium on Computer Architecture, Conference Proceedings. p. 140-151 12 p. (Proceedings - International Symposium on Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2008

    Tradeoffs in designing accelerator architectures for visual computing

    Mahesri, A., Johnson, D., Crago, N. & Patel, S. J., 2008, 2008 Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-41. 2008 PROCEEDINGS ed. p. 164-175 12 p. 4771788. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO; no. 2008 PROCEEDINGS).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • 2007

    Examining ACE analysis reliability estimates using fault-injection

    Wang, N. J., Mahesri, A. & Patel, S. J., 2007, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings. p. 460-469 10 p. (Proceedings - International Symposium on Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Implicitly parallel programming models for thousand-core microprocessors

    Hwu, W. M., Ryoo, S., Ueng, S. Z., Keim, J. H., Gelado, I., Stone, S. S., Kidd, R. E., Baghsorkhi, S. S., Mahesri, A. A., Tsao, S. C., Navarro, N., Lumetta, S. S., Frank, M. I. & Patel, S. J., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. p. 754-759 6 p. 4261284. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • ParallAX: An architecture for real-time physics

    Yeh, T. Y., Faloutsos, P., Patel, S. J. & Reinman, G., 2007, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings. p. 232-243 12 p. (Proceedings - International Symposium on Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • The art of deception: Adaptive precision reduction for area efficient physics acceleration

    Yeh, T. Y., Faloutsos, P., Ercegovac, M., Patel, S. J. & Reinman, G., 2007, Proceedings of the The 40th IEEE/ACM International Symposium on Microarchitecture, MICRO 2007. p. 394-406 13 p. 4408271. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2005

    The future of computer architecture research: An industrial perspective

    Hwu, W. M. & Patel, S., 2005, Proceedings - 11th International Symposium on High-Performance Computer Architecture, HPCA-11 2005. p. 264 1 p. (Proceedings - International Symposium on High-Performance Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2003

    Beating in-order stalls with "flea-flicker" two-pass pipelining

    Barnes, R. D., Patel, S. J., Nystrom, E. M., Navarro, N., Sias, J. W. & Hwu, W. W., 2003, Proceedings - 36th International Symposium on Microarchitecture, MICRO 2003. IEEE Computer Society, p. 387-398 12 p. 1253243. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO; vol. 2003-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Characterization of essential dynamic instructions

    Lumetta, S. S. & Patel, S. J., Jun 2003, Performance Evaluation Review. 1 ed. p. 308-309 2 p. (Performance Evaluation Review; vol. 31, no. 1).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Dynamic optimization of micro-operations

    Slechta, B., Crowe, D., Fahs, N., Fertig, M., Muthler, G., Quek, J., Spadini, F., Patel, S. J. & Lumetta, S. S., 2003, Proceedings - 9th International Symposium on High-Performance Computer Architecture, HPCA 2003. IEEE Computer Society, p. 165-176 12 p. 1183535. (Proceedings - International Symposium on High-Performance Computer Architecture; vol. 12).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Improving quasi-dynamic schedules through region slip

    Spadini, F., Fahs, B., Patel, S. & Lumetta, S. S., 2003, International Symposium on Code Generation and Optimization, CGO 2003. Institute of Electrical and Electronics Engineers Inc., p. 149-158 10 p. 1191541. (International Symposium on Code Generation and Optimization, CGO 2003).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Y-branches: When you come to a fork in the road, take it

    Wang, N., Fertig, M. & Patel, S., 2003, Proceedings - 12th International Conference on Parallel Architectures and Compilation Techniques, PACT 2003. Institute of Electrical and Electronics Engineers Inc., p. 56-66 11 p. 1238002. (Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT; vol. 2003-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2002

    Instruction fetch deferral using static slack

    Muthler, G. A., Crowe, D., Patel, S. J. & Lumetta, S. S., 2002, Proceedings - 35th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2002. IEEE Computer Society, p. 51-61 11 p. 1176238. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO; vol. 2002-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution