Filter
Conference contribution

Search results

  • 2007

    Archer: A history-driven global routing algorithm

    Ozdal, M. M. & Wong, M. D. F., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 488-495 8 p. 4397312. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Boundary-based cellwise OPC for standard-cell layouts

    Pawlowski, D. M., Deng, L. & Wong, M. D. F., 2007, Design for Manufacturability through Design-Process Integration. 65211O. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 6521).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Coupling-aware dummy metal insertion for lithography

    Deng, L., Wong, M. D. F., Chao, K. Y. & Xiang, H., 2007, Proceedings of the ASP-DAC 2007 - Asia and South Pacific Design Automation Conference 2007. p. 13-18 6 p. 4195989. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Coupling-aware mixed dummy metal insertion for lithography

    Deng, L., Wong, M. D. F., Chao, K. Y. & Xiang, H., 2007, Design for Manufacturability through Design-Process Integration. 65210H. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 6521).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • DDBDD: Delay-driven BDD synthesis for FPGAs

    Cheng, L., Chen, D. & Wong, M. D. F., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. Institute of Electrical and Electronics Engineers Inc., p. 910-915 6 p. 4261313. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Dummy fill density analysis with coupling constraints

    Xiang, H., Deng, L., Puri, R., Chao, K. Y. & Wong, M. D. F., 2007, Proceedings of ISPD'07: 2007 International Symposium on Physical Design. p. 3-10 8 p. 1232001. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Efficient second-order iterative methods for IR drop analysis in power grid

    Zhong, Y. & Wong, M. D. F., 2007, Proceedings of the ASP-DAC 2007 - Asia and South Pacific Design Automation Conference 2007. p. 768-773 6 p. 4196128. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Fast and accurate OPC for standard-cell layouts

    Pawlowski, D. M., Deng, L. & Wong, M. D. F., 2007, Proceedings of the ASP-DAC 2007 - Asia and South Pacific Design Automation Conference 2007. p. 7-12 6 p. 4195988. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Fast placement optimization of power supply pads

    Zhong, Y. & Wong, M. D. F., 2007, Proceedings of the ASP-DAC 2007 - Asia and South Pacific Design Automation Conference 2007. p. 763-767 5 p. 4196127. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • GlitchMap: An FPGA technology mapper for low power considering glitches

    Cheng, L., Chen, D. & Wong, M. D. F., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. Institute of Electrical and Electronics Engineers Inc., p. 318-323 6 p. 4261198. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Improving voltage assignment by outlier detection and incremental placement

    Wu, H. & Wong, M. D. F., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. Institute of Electrical and Electronics Engineers Inc., p. 459-464 6 p. 4261228. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Is your layout density verification exact? A fast exact algorithm for density calculation

    Xiang, H., Chao, K. Y., Puri, R. & Wong, M. D. F., 2007, Proceedings of ISPD'07: 2007 International Symposium on Physical Design. p. 19-26 8 p. 1232003. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Low power design with multi-vdd and voltage islands

    Wong, M. D. F., 2007, ASICON 2007 - 2007 7th International Conference on ASIC Proceeding. p. 1325 1 p. 4415881. (ASICON 2007 - 2007 7th International Conference on ASIC Proceeding).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • OPCFriendly bus driven floorplanning

    Xiang, H., Deng, L., Huang, L. D. & Wong, M. D. F., 2007, Proceedings - Eighth International Symposium on Quality Electronic Design, ISQED 2007. p. 847-852 6 p. 4149139. (Proceedings - Eighth International Symposium on Quality Electronic Design, ISQED 2007).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Optimal bus sequencing for escape routing in dense PCBs

    Kong, H., Yan, T., Wong, M. D. F. & Ozdal, M. M., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 390-395 6 p. 4397296. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Timing constraint-driven technology mapping for FPGAs considering false paths and multi-clock domains

    Cheng, L., Chen, D., Wong, M. D. F., Hutton, M. & Govig, J., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 370-375 6 p. 4397292. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Untangling twisted nets for bus routing

    Yan, T. & Wong, M. D. F., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 396-400 5 p. 4397297. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2006

    A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction

    Cheng, L., Deng, L., Chen, D. & Wong, M. D. F., 2006, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06. Institute of Electrical and Electronics Engineers Inc., p. 117-120 4 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • An exact algorithm for the statistical shortest path problem

    Deng, L. & Wong, M. D. F., 2006, Proceedings of the ASP-DAC 2006: Asia and South Pacific Design Automation Conference 2006. Institute of Electrical and Electronics Engineers Inc., p. 965-970 6 p. 1594811. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Closed form solution for optimal buffer sizing using the Weierstrass elliptic function

    Vogel, S. & Wong, M. D. F., 2006, Proceedings of the ASP-DAC 2006: Asia and South Pacific Design Automation Conference 2006. Institute of Electrical and Electronics Engineers Inc., p. 315-319 5 p. 1594701. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Timing-constrained and voltage-island-aware voltage assignment

    Wu, H., Wong, M. D. F. & Liu, I. M., 2006, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06. Institute of Electrical and Electronics Engineers Inc., p. 429-432 4 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2005

    An ECO algorithm for resolving opc and coupling capacitance violations

    Xiang, H., Huang, L. D., Chao, K. Y. & Wong, M. D. F., 2005, ASICON 2005: 2005 6th International Conference on ASIC, Proceedings. p. 784-787 4 p. 1611444. (ASICON 2005: 2005 6th International Conference on ASIC, Proceedings; vol. 2).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • An escape routing framework for dense boards with high-speed design constraints

    Ozdal, M. M., Wong, M. D. F. & Honsinger, P. S., 2005, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design. Institute of Electrical and Electronics Engineers Inc., p. 759-766 8 p. 1560166. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Buffer insertion under process variations for delay minimization

    Deng, L. & Wong, M. D. F., 2005, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design. p. 317-321 5 p. 1560086. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • CMP aware shuttle mask floorplanning

    Xu, G., Tian, R., Pan, D. Z. & Wong, M. D. F., 2005, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005. Institute of Electrical and Electronics Engineers Inc., p. 1111-1114 4 p. 1466535. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Crowdedness-balanced multilevel partitioning for uniform resource utilization

    Cheon, Y. & Wong, M. D. F., 2005, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005. Institute of Electrical and Electronics Engineers Inc., p. 418-423 6 p. 1466199. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 1).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Current calculation on VLSI signal interconnects

    Shao, M., Gao, Y., Yuan, L. P., Chen, H. M. & Wong, M. D. F., 2005, Proceedings - 6th International Symposium on Quality Electronic Design, ISQED 2005. p. 580-585 6 p. 1410647. (Proceedings - International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Exact algorithms for coupling capacitance minimization by adding one metal layer

    Hua, X., Chao, K. Y. & Wong, M. D. F., 2005, Proceedings - 6th International Symposium on Quality Electronic Design, ISQED 2005. p. 181-186 6 p. 1410580. (Proceedings - International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Fast algorithms for IR drop analysis in large power grid

    Zhong, Y. & Wong, M. D. F., 2005, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design. p. 351-357 7 p. 1560093. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Floorplanning for 3-0 VLSI design

    Cheng, L., Deng, L. & Wong, M. D. F., 2005, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005. p. 405-411 7 p. 1466197. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 1).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • IR drop and ground bounce awareness timing model

    Shao, M., Gao, Y., Yuan, L. P. & Wong, M. D. F., 2005, Proceedings - IEEE Computer Society Annual Symposium on VLSI - New Frontiers in VLSI Design. Smailagic, A. & Ranganathan, N. (eds.). p. 226-231 6 p. (Proceedings - IEEE Computer Society Annual Symposium on VLSI - New Frontiers in VLSI).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Manufacturability-aware physical layout optimizations

    Pan, D. Z. & Wong, M. D. F., 2005, 2005 International Conference on Integrated Circuit Design and Technology, ICICDT. Institute of Electrical and Electronics Engineers Inc., p. 149-153 5 p. G2. (2005 International Conference on Integrated Circuit Design and Technology, ICICDT).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Optimal redistribution of white space for wire length minimization

    Tang, X., Tian, R. & Wong, M. D. F., 2005, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005. Institute of Electrical and Electronics Engineers Inc., p. 412-417 6 p. 1466198. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 1).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Optimal routing algorithms for pin clusters in high-density multichip modules

    Ozdal, M. M., Wang, M. D. F. & Honsinger, P. S., 2005, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design. Institute of Electrical and Electronics Engineers Inc., p. 767-774 8 p. 1560167. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Post-placement voltage island generation under performance requirement

    Wu, H., Liu, I. M., Wong, M. D. F. & Wang, Y., 2005, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design. p. 309-316 8 p. 1560085. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Recent results in low power research

    Wong, M. D. F., 2005, ASICON 2005: 2005 6th International Conference on ASIC, Proceedings. p. 9-10 2 p. 1611236. (ASICON 2005: 2005 6th International Conference on ASIC, Proceedings; vol. 1).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Redundant-via enhanced maze routing for yield improvement

    Xu, G., Huang, L. D., Pan, D. Z. & Wong, M. D. F., 2005, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005. Institute of Electrical and Electronics Engineers Inc., p. 1148-1151 4 p. 1466544. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • Wire planning with bounded over-the-block wires

    Xiang, H., Liu, I. M. & Wong, M. D. F., 2005, Proceedings - 6th International Symposium on Quality Electronic Design, ISQED 2005. p. 622-627 6 p. 1410654. (Proceedings - International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2004

    A provably good algorithm for high performance bus routing

    Ozdal, M. M. & Wong, M. D. F., 2004, ICCAD-2004 - IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers. p. 830-837 8 p. 10C.2. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • A two-layer bus routing algorithm for high-speed boards

    Ozdal, M. M. & Wong, M. D. F., 2004, Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 2004. p. 99-105 7 p. (Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Floorplan design for multi-million gate FPGAs

    Cheng, L. & Wong, M. D. F., 2004, ICCAD-2004 - IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers. p. 292-299 8 p. 4C.1. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • I/O clustering in design cost and performance optimization for flip-chip design

    Chen, H. M., Liu, I. M., Wong, M. D. F., Shao, M. & Huang, L. D., 2004, Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 2004. p. 562-567 6 p. (Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Optimal algorithm for minimizing the number of twists in an on-chip bus

    Deng, L. & Wong, M. D. F., 2004, Proceedings - Design, Automation and Test in Europe Conference and Exhibition, DATE 04. Gielen, G. & Figueras, J. (eds.). p. 1104-1109 6 p. (Proceedings - Design, Automation and Test in Europe Conference and Exhibition; vol. 2).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Simultaneous escape routing and layer assignment for dense PCBS

    Ozdal, M. M. & Wong, M. D. F., 2004, ICCAD-2004 - IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers. p. 822-829 8 p. 10C.1. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2003

    A fast and accurate method for interconnect current calculation

    Shao, M., Wong, D. F., Gao, Y., Cao, H. & Yuan, L. P., 2003, Proceedings of the ASP-DAC 2003 Asia and South Pacific Design Automation Conference. Institute of Electrical and Electronics Engineers Inc., p. 37-42 6 p. 1194990. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2003-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • Floorplanning with power supply noise avoidance

    Chen, H. M., Huang, L. D., Liu, I. M., Lai, M. & Wong, D. F., 2003, Proceedings of the ASP-DAC 2003 Asia and South Pacific Design Automation Conference. Institute of Electrical and Electronics Engineers Inc., p. 427-430 4 p. 1195053. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2003-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • 2002

    An algorithm for integrated pin assignment and buffer planning

    Xiang, H., Tang, X. & Wong, D. F., 2002, Proceedings of the 39th Annual Design Automation Conference, DAC'02. Institute of Electrical and Electronics Engineers Inc., p. 584-589 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Floorplanning with alignment and performance constraints

    Tang, X. & Wong, D. F., 2002, Proceedings of the 39th Annual Design Automation Conference, DAC'02. Institute of Electrical and Electronics Engineers Inc., p. 848-853 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2001

    A fast and accurate delay estimation method for buffered interconnects

    Gao, Y. & Wong, D. F., 2001, Proceedings of the ASP-DAC 2001: Asia and South Pacific Design Automation Conference 2001. Institute of Electrical and Electronics Engineers Inc., p. 533-538 6 p. 913363. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2001-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • FAST-SP: A fast algorithm for block placement based on sequence pair

    Tang, X. & Wong, D. F., 2001, Proceedings of the ASP-DAC 2001: Asia and South Pacific Design Automation Conference 2001. Institute of Electrical and Electronics Engineers Inc., p. 521-526 6 p. 913361. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2001-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access