Filter
Conference contribution

Search results

  • 2012

    A polynomial time exact algorithm for self-aligned double patterning layout decomposition

    Xiao, Z., Du, Y., Zhang, H. & Wong, M. D. F., 2012, ISPD'12 - Proceedings of the 2012 International Symposium on Physical Design. p. 17-24 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Characterization and decomposition of self-aligned quadruple patterning friendly layout

    Zhang, H., Du, Y., Wong, M. D. F. & Topaloglu, R. O., 2012, Optical Microlithography XXV. 83260F. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8326).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Efficient multi-die placement for blank defect mitigation in EUV lithography

    Du, Y., Zhang, H., Wong, M. D. F., Deng, Y. & Topaloglu, R. O., 2012, Extreme Ultraviolet (EUV) Lithography III. 832231. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8322).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Efficient pattern relocation for EUV blank defect mitigation

    Zhang, H., Du, Y., Wong, M. D. F. & Topalaglu, R. O., 2012, ASP-DAC 2012 - 17th Asia and South Pacific Design Automation Conference. p. 719-724 6 p. 6165049. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Hybrid lithography optimization with E-Beam and immersion processes for 16nm 1D gridded design

    Du, Y., Zhang, H., Wong, M. D. F. & Chao, K. Y., 2012, ASP-DAC 2012 - 17th Asia and South Pacific Design Automation Conference. p. 707-712 6 p. 6165047. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Impact of lithography retargeting process on low level interconnect in 20nm technology

    Zhang, H., Deng, Y., Kye, J. & Wong, M. D. F., 2012, Proceedings of the International Workshop on System Level Interconnect Prediction, SLIP'12. p. 3-10 8 p. (International Workshop on System Level Interconnect Prediction, SLIP).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Linear time EUV blank defect mitigation algorithm considering tolerance to inspection inaccuracy

    Du, Y., Zhang, H. & Wong, M. D. F., 2012, Photomask Technology 2012. Abboud, F. E. & Faure, T. B. (eds.). SPIE, 964103. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8522).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Parallel implementation of R-trees on the GPU

    Luo, L., Wong, M. D. F. & Leong, L., 2012, ASP-DAC 2012 - 17th Asia and South Pacific Design Automation Conference. p. 353-358 6 p. 6164973. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Thermal via structural design in three-dimensional integrated circuits

    Hwang, L., Lin, K. L. & Wong, M. D. F., 2012, Proceedings of the 13th International Symposium on Quality Electronic Design, ISQED 2012. p. 103-108 6 p. 6187481. (Proceedings - International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology

    Ma, Q., Zhang, H. & Wong, M. D. F., 2012, Proceedings of the 49th Annual Design Automation Conference, DAC '12. p. 591-596 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2011

    Accelerating aerial image simulation with GPU

    Zhang, H., Yan, T., Wong, M. D. F. & Patel, S. J., 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011. p. 178-184 7 p. 6105323. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • An optimal algorithm for layer assignment of bus escape routing on PCBs

    Ma, Q., Young, E. F. Y. & Wong, M. D. F., 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference, DAC 2011. Institute of Electrical and Electronics Engineers Inc., p. 176-181 6 p. 5981933. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • A provably good approximation algorithm for rectangle escape problem with application to PCB routing

    Ma, Q., Kong, H., Wong, M. D. F. & Young, E. F. Y., 2011, 2011 16th Asia and South Pacific Design Automation Conference, ASP-DAC 2011. p. 843-848 6 p. 5722308. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Characterization of the performance variation for regular standard cell with process nonidealities

    Zhang, H., Du, Y., Wong, M. D. F. & Chao, K. Y., 2011, Design for Manufacturability through Design-Process Integration V. 79740T. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 7974).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Effective decomposition algorithm for self-aligned double patterning lithography

    Zhang, H., Du, Y., Wong, M. D. F., Topaloglu, R. & Conley, W., 2011, Optical Microlithography XXIV. 79730J. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 7973).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • EUV mask preparation considering blank defects mitigation

    Du, Y., Zhang, H., Wong, M. D. F. & Topaloglu, R. O., 2011, Photomask Technology 2011. 816611. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8166).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Hot spot detection for indecomposable self-aligned double patterning layout

    Zhang, H., Du, Y., Wong, M. D. F. & Topaloglu, R. O., 2011, Photomask Technology 2011. 81663E. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8166).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Lithography-aware layout modification considering performance impact

    Zhang, H., Du, Y., Wong, M. D. F. & Chao, K. Y., 2011, Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011. p. 437-441 5 p. 5770763. (Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Mask cost reduction with circuit performance consideration for self-aligned double patterning

    Zhang, H., Du, Y., Wong, M. D. F. & Chao, K. Y., 2011, 2011 16th Asia and South Pacific Design Automation Conference, ASP-DAC 2011. p. 787-792 6 p. 5722296. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Routing with graphene nanoribbons

    Yan, T., Ma, Q., Chilstedt, S., Wong, M. D. F. & Chen, D., 2011, 2011 16th Asia and South Pacific Design Automation Conference, ASP-DAC 2011. p. 323-329 7 p. 5722208. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Self-aligned double patterning decomposition for overlay minimization and hot spot detection

    Zhang, H., Du, Y., Wong, M. D. F. & Topaloglu, R., 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference, DAC 2011. Institute of Electrical and Electronics Engineers Inc., p. 71-76 6 p. 5981704. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2010

    An effective GPU implementation of breadth-first search

    Luo, L., Wong, M. & Hwu, W. M., 2010, Proceedings of the 47th Design Automation Conference, DAC '10. p. 52-55 4 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • A negotiated congestion based router for simultaneous escape routing

    Ma, Q., Yan, T. & Wong, M. D. F., 2010, Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010. p. 606-610 5 p. 5450514. (Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • An optimal algorithm for finding disjoint rectangles and its application to PCB routing

    Kong, H., Ma, Q., Yan, T. & Wong, M. D. F., 2010, Proceedings of the 47th Design Automation Conference, DAC '10. p. 212-217 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • BDD-based circuit restructuring for reducing dynamic power

    Dinh, Q., Chen, D. & Wong, M. D. F., 2010, 2010 IEEE International Conference on Computer Design, ICCD 2010. p. 548-554 7 p. 5647524. (Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • B-escape: A simultaneous escape routing algorithm based on boundary routing

    Luo, L., Yan, T., Ma, Q., Wong, M. D. F. & Shibuya, T., 2010, ISPD'10 - Proceedings of the 2010 ACM International Symposium on Physical Design. p. 19-25 7 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Configurable multi-product floorplanning

    Ma, Q., Wong, M. D. F. & Chao, K. Y., 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 549-554 6 p. 5419824. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Dynamic power estimation for deep submicron circuits with process variation

    Dinh, Q., Chen, D. & Wong, M. D. F., 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 587-592 6 p. 5419818. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Fast block-iterative domain decomposition algorithm for IR drop analysis in large power grid

    Zhong, Y. & Wong, M. D. F., 2010, Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010. p. 277-283 7 p. 5450430. (Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • On process-aware 1-D standard cell design

    Zhang, H., Wong, M. D. F. & Chao, K. Y., 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 838-842 5 p. 5419686. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • On the escape routing of differential Pairs

    Yan, T., Wu, P. C., Ma, Q. & Wong, M. D. F., 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2010. Institute of Electrical and Electronics Engineers Inc., p. 614-620 7 p. 5654214. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Optimal simultaneous pin assignment and escape routing for dense PCBs

    Kong, H., Yan, T. & Wong, M. D. F., 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 275-280 6 p. 5419881. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Recent research development in PCB layout

    Yantt, T. & Wong, M. D. F., 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2010. Institute of Electrical and Electronics Engineers Inc., p. 398-403 6 p. 5654190. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2009

    A correct network flow model for escape routing

    Tan, Y. & Wong, M. D. F., 2009, 2009 46th ACM/IEEE Design Automation Conference, DAC 2009. Institute of Electrical and Electronics Engineers Inc., p. 332-335 4 p. 5227129. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Advances in CAD for low power design

    Wong, M. D. F., 2009, ASICON 2009 - Proceedings, 2009 8th IEEE International Conference on ASIC. p. 780 1 p. 5351282. (ASICON 2009 - Proceedings 2009 8th IEEE International Conference on ASIC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • A routing approach to reduce glitches in low power FPGAS

    Dinh, Q., Chen, D. & Wong, M. D. F., 2009, Proceedings of the 2009 International Symposium on Physical Design, ISPD'09. p. 99-105 7 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Automatic bus planner for dense PCBs

    Hui, K., Tan, Y. & Wong, M. D. F., 2009, 2009 46th ACM/IEEE Design Automation Conference, DAC 2009. Institute of Electrical and Electronics Engineers Inc., p. 326-331 6 p. 5227133. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Flip-chip routing with unified area-I/O pad assignments for package-board co-design

    Fang, J. W., Wong, M. D. F. & Chang, Y. W., 2009, 2009 46th ACM/IEEE Design Automation Conference, DAC 2009. Institute of Electrical and Electronics Engineers Inc., p. 336-339 4 p. 5227130. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • On using SAT to ordered escape problems

    Luo, L. & Wong, M. D. F., 2009, Proceedings of the ASP-DAC 2009: Asia and South Pacific Design Automation Conference 2009. Institute of Electrical and Electronics Engineers Inc., p. 594-599 6 p. 4796545. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Optimal layer assignment for escape routing of buses

    Yan, T., Kong, H. & Wong, M. D. F., 2009, Proceedings of the 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers, ICCAD 2009. Institute of Electrical and Electronics Engineers Inc., p. 245-248 4 p. 5361286. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Thermal-driven analog placement considering device matching

    Lin, P. H., Zhang, H., Wong, M. D. F. & Chang, Y. W., 2009, 2009 46th ACM/IEEE Design Automation Conference, DAC 2009. Institute of Electrical and Electronics Engineers Inc., p. 593-598 6 p. 5227072. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Uniformity-Aware standard cell design with accurate shape control

    Zhang, H., Wong, M. D. F., Chao, K. Y., Deng, L. & Choi, S. H., 2009, Design for Manufacturability through Design-Process Integration III. 72751G. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 7275).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Wire shaping is practical

    Zhang, H., Wong, M. D. F., Chao, K. Y. & Deng, L., 2009, Proceedings of the 2009 International Symposium on Physical Design, ISPD'09. p. 131-138 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2008

    BSG-route: A length-matching router for general topology

    Yan, T. & Wong, M. D. F., 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design Digest of Technical Papers, ICCAD 2008. p. 499-505 7 p. 4681621. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Cell-based OPC with standard-cell fill insertion

    Deng, L., Chao, K. Y., Xiang, H. & Wong, M. D. F., 2008, Design for Manufacturability through Design-Process Integration II. 69251L. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 6925).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Efficient ASIP design for configurable processors with fine-grained resource sharing

    Dinh, Q., Chen, D. & Wong, M. D. F., 2008, FPGA 2008 - Sixteenth ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. p. 99-106 8 p. (ACM/SIGDA International Symposium on Field Programmable Gate Arrays - FPGA).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Manufacturing for design: A novel interconnect optimization method

    Zhang, H., Deng, L., Chao, K. Y. & Wong, M. D. F., 2008, Design for Manufacturability through Design-Process Integration II. 69250G. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 6925).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Ordered escape routing based on boolean satisfiability

    Luo, L. & Wong, M. D. F., 2008, 2008 Asia and South Pacific Design Automation Conference, ASP-DAC. p. 244-249 6 p. 4483950. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • Thermal-aware IR drop analysis in large power grid

    Zhong, Y. & Wong, M. D. F., 2008, Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008. p. 194-199 6 p. 4479725. (Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2007

    Archer: A history-driven global routing algorithm

    Ozdal, M. M. & Wong, M. D. F., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 488-495 8 p. 4397312. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution